8BitAddress-8Wide RAM Block

    8BitAddress-8Wide RAM Block 1.0

    Game version
    v.0.201.378
    RAM Block memory module stores up to 256 unique addressed 8-wide bytes using NAND based SR-Latches Configured as D-Latches uses wireless connections and rails for each sub-memory module.

    My newer design uses about 3000 blocks fewer by eliminating unneeded de/muxers, merging each memory module onto one entity and changing to AND-OR Latches, but this older design is still functional apart from some Starmade quirks that occur around lag.
    This was an improvement over my 4-bit address RAM block in that it wasted less space with unneeded lights and displays which helped lower the number of lighting updates and the obvious increase in size and storage capacity. However this design still had a long way to go before it was good enough for my 24-bit computer architecture.

    Thank you for taking the time to check out this slightly older RAM design I made. If you have any questions or comments please let me know.
    ~SI
    Author
    ScorpionInc
    Downloads
    537
    Views
    792
    First release
    Last update
    Rating
    5.00 star(s) 1 ratings

    More resources from ScorpionInc

    Latest reviews

    if only i had one for my real pc :/
    ScorpionInc
    ScorpionInc
    1st world problems am I right :) Thanks for the feedback